就下载 —— 安全下载、无毒手机软件、绿色软件官方下载网站最近更新|下载排行|热门标签|收藏本站

《单核验证引擎》(Mentor Graphics QuestaSim 6.2b)

发布用户:ed2k
软件大小:110.78 MB
下载次数:次下载
应用标签:行业软件
中文名: 单核验证引擎
英文名: Mentor Graphics QuestaSim 6.2b
发行时间: 2006年
制作发行: Mentor Graphics
地区: 美国
简介:

[通过安全测试]
杀毒软件:Mcafee VirusScan
版本: 10.0.27
共享条件:T1 2M
共享时间:18:00~08:00
共享服务器:一般在DonkeyServer No 2
[通过安装测试] OS:win2000Pro SP4。
软件版权归原作者及原软件公司所有,如果你喜欢,请购买正版软件

Mentor Graphics QuestaSim 6.2b (单核验证引擎):
QuestaSim是第一个基于标准的单核验证引擎,集成了一个HDL模拟器,一个约束求解器,一个判断引擎,功能覆盖,以及一个通用的用户界面。
Mentor Graphics新产品Questa突破设计验证障碍
支持SystemVerilog、VHDL、PSL以及SystemC
Mentor Graphics 宣布将推出Questa验证产品系列,这些新型验证工具支持测试平台自动化 (testbench automation)、覆盖率驱动式验证 (Coverage-Driven Verification,CDV)、以断言为基础的验证 (Assertion- Based Verification,ABV) 和事务级建模 (Transaction-Level Modeling,TLM)。
新产品线目前包含两套产品,分别是Questa SystemVerilog以及 Questa Advanced Functional Verification (AFV),它们都采用最新的QuestaSim验证技术。 QuestaSim是第一个以标准为基础的单内核验证引擎,内建硬件描述语言 (HDL) 仿真器、约束条件解算器 (constraint solver)、assertion引擎、功能涵盖率分析和一组共同的使用者界面。
「所有调查都指出验证仍是设计周期的主要瓶颈,业界显然必须采用新验证方法,才有可能突破这个瓶颈。」Mentor Graphics副总裁暨设计验证与测试部门总经理 Robert Hum表示,「随着Questa推出,设计人员将能使用最新的语言标准和方法,不但让他们更快找出更多错误,还会提高验证生产力。」
新验证方法需要标准
过去两年里,数种新验证语言已完成标准化,例如SystemVerilog、SystemC和PSL,这些验证语言的出现使设计团队得以改用CDV、ABV或TLM等新验证方法,避免被专属语言或解决方案绑住的风险。
Sunburst Design 总裁和Verilog及SystemVerilog产业专家Cliff Cummings表示:「我们认为SystemVerilog非常重要,它是系统级验证的主要标准,使得许多验证方法都能用于整个设计流程。我们相信Mentor的Questa解决方案将会扩大SystemVerilog在先进验证方面的应用。」
Questa SystemVerilog带领Verilog设计人员迈向未来
Questa SystemVerilog把 IEEE P1800 SystemVerilog新标准的多个重要部份整合至一套单核心验证解决方案,这包括设计建构元素 (design constructs)、测试平台建构元素 (testbench constructs)、assertions以及直接编程界面 (Direct Programming Interface),Verilog使用者现能运用以标准为基础的多种新验证方法,确保未来的重复使用和设计的可移植性。这套整合式解决方案的效能和除错能力都远胜过使用者目前必须自行组合的多工具、多语言解决方案。
Questa AFV提供真正的混合语言验证
Questa AFV 是以混合语言流程 (mixed language flow) 为目标的单核心验证解决方案,它同时支持SystemVerilog、VHDL、PSL 和SystemC,使设计人员能够选择最合适的语言。除此之外,与SystemVerilog验证能力的紧密连结,并将其用于受限随机 (constrained-random) 测试平台的产生以及功能覆盖率的验证也对VHDL使用者大有好处。
可扩展式验证和其它Mentor Graphics技术
Questa 是Mentor Graphics Scalable Verification解决方案产品线的最新产品,Questa AFV以及 Questa SystemVerilog则是该系列首批推出的最新验证解决方案。Questa产品可与现有的Mentor Graphics产品整合,为特定方法提供量身定制的解决方案,ModelSim使用者可透过外挂选项轻松增加Questa功能。Seamless、Advance MS、0 -In和VStation产品线都兼容于新推出的Questa产品。

《单核验证引擎》(Mentor Graphics QuestaSim 6.2b)下载

《单核验证引擎》(Mentor Graphics QuestaSim 6.2b)评论

详情

  • 名称:《单核验证引擎》(Mentor Graphics QuestaSim 6.2b)
  • 更新日期:2016-11-30 12:03:37
  • 资源大小:110.78 MB
  • 授权形式:免费