就下载 —— 安全下载、无毒手机软件、绿色软件官方下载网站最近更新|下载排行|热门标签|收藏本站

《OrCAD 10.5 SP1 电子设计》(OrCAD 10.5 SP1)英文[ISO]

发布用户:ed2k
软件大小:141.81 MB
下载次数:次下载
应用标签:行业软件
中文名: OrCAD 10.5 SP1 电子设计
英文名: OrCAD 10.5 SP1
资源格式: 光盘镜像
版本: 英文
发行时间: 2006年
简介:

软件分类: 国外软件 / 零售版 / 电子电路
文件大小: 141M
适用平台: 9x/98/Me/NT/2000/XP/2003/Vista
http://img75.imageshack.us/img75/9638/20066212022408qi.gif
[已通过安全检测]SYMANTEC.ANTIVIRUS.CORPORATE.V10.0.2.2000(英文精简版本)
[已通过安装测试]WinXP+SP2和WIN2003专业版 WIN98
软件版权归原作者及原软件公司所有,如果你喜欢,请购买正版软件
共享服务时间:每天:8点至24:30点
共享服务器:DonkeyServer No1-3 . DonkeyServer No5

OrCAD 10.5 SP1 (电子设计):
OrCAD 10.5-SP1正式发行.2006.6月最新.OrCAD SP1 for release 10.5,需要10.5的支持

OrCAD PCB Designer with PSpice,Full featured suites with time-proven and new OrCAD technology,全功能增强套件,具有记时验证功能以及新OrCAD技术!是目前为止OrCAD功能最强大的一个版本。
Cadence OrCAD 10.5, 让PCB的设计进入更细节阶段。与PSpice结合可应用于在Allegro平台上。此套组系为一完整涵盖前端至后端、使用微软视窗平台的流程,可以供印刷电路板(PCB) 设计师透过工具整合与程式自动化改善生产力与缩段进入市场的时间。 Orcad Unison Suite 整合了四种新近加强型的产品,在单一套装软体当中即可提供设计师所需的所有工具。组合各项产品的本套组定价US$5,995 (参考用), 比分别购买单项产品可节省一半以上的费用。
OrCAD 10.5 包括供设计输入的Orcad CaptureR ,供类比与混合讯号模拟用的 PSpiceR A/D Basics,供电路板设计的 Orcad LayoutR 以及供高密度电路板自动绕线的SPECCTRAR 4U。新加入的SPECCTRA,用以支援设计日益复杂的各种高速、高密度印刷电路板设计。SPECCTRA 提供设计师一种以形状为基础的,功能强大的绕线器,可在减少使用者介入情况下完成各种复杂设计。
该公司CadenceR PCB系统部们的策略行销部副总裁Jamie Metcalfe表示"Cadence OrCAD Suite 10.5提供一些了不起的科技,而售价是个人工程师设计市场中每一位都能负担得起的。藉着发行此一软体,本公司为此一市场区隔中的价格/性能制定了新的标准"。
http://img473.imageshack.us/img473/6076/20066212021112tb.gif
OrCAD 10.5 SP1 and SPB 15.51 Now Available
Service Pack 1 for OrCAD Version 10.5 was designed as a Product Change Request (PCR) release. This Service Pack includes 45 completed PCRs. Details on the PCRs and the product enhancements included can be found inside the EMA Resource Center.
帮助文件:
FEATURE SPECCTRA_HP cdslmd 17.0 permanent uncounted \
1DF4A540BA480745F126 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SPECCTRA_DFM cdslmd 17.0 permanent uncounted \
3D1415D08631E2114181 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SPECCTRA_ADV cdslmd 17.0 permanent uncounted \
FDC485A0A035101219AE HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SPECCTRA_VT cdslmd 17.0 permanent uncounted \
FDB4C5202A0E2505379A HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SPECCTRA_QE cdslmd 17.0 permanent uncounted \
2D54B5D0A61D4DDCAF59 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SPECCTRA_APD cdslmd 17.0 permanent uncounted \
AD6495E058A3A298A768 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SPECCTRA_6U cdslmd 17.0 permanent uncounted \
AD3465E0C4F2900A9009 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SPECCTRA_256U cdslmd 17.0 permanent uncounted \
CDF4C570DE0414F74851 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE visula_in cdslmd 17.0 permanent uncounted \
5D2485E0E1E7B31DE688 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE ViewBase_ALL cdslmd 17.0 permanent uncounted \
7D14D530AA9B3A9CC207 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE vgen cdslmd 17.0 permanent uncounted 1D743540A92216ADD360 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE VB_6SUPUC_ALL cdslmd 17.0 permanent uncounted \
2D9415D055524384FE82 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE VB_6SUPUC cdslmd 17.0 permanent uncounted \
ADE49500DD6DA7EBAEB2 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE VB_4SUPUC_ALL cdslmd 17.0 permanent uncounted \
0D9445906F4EF7E6E68A HOSTID=ANY ISSUER=SiGNMAKER
FEATURE tune cdslmd 17.0 permanent uncounted 2D04954031FBFEF91F6E \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE sx cdslmd 17.0 permanent uncounted 2DC4E5B01B3D9480A5F6 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE swap cdslmd 17.0 permanent uncounted 7D74B510A62FE8848C83 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SQ_Microprocessor_SI_Lib cdslmd 17.0 permanent uncounted \
7D94F570D14064F3495D HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SQ_Memory_SI_Lib cdslmd 17.0 permanent uncounted \
5D24F5C0C9FA09B64F75 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SQ_FPGA_SI_Lib cdslmd 17.0 permanent uncounted \
BD7425E0E85C9920EA62 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SQ_Digital_Logic_SI_Lib cdslmd 17.0 permanent uncounted \
2D3435B0F170B499C830 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SPECCTRA_performance cdslmd 17.0 permanent uncounted \
ED7445201BA0FA72E416 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SPECCTRA_PCB cdslmd 17.0 permanent uncounted \
DDE4B59060027A8D0777 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SPECCTRA_expert_system cdslmd 17.0 permanent uncounted \
BD9495A08CECC7A0AAAA HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SPECCTRA_expert cdslmd 17.0 permanent uncounted \
7D14E5A054137291909D HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SPECCTRA_designer cdslmd 17.0 permanent uncounted \
2D04E5804F9F4BF4C35C HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SPECCTRA_autoroute cdslmd 17.0 permanent uncounted \
FD5455803C4BAFBCB322 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SPECCTRAQuest_SI_expert cdslmd 17.0 permanent uncounted \
0D24D560EE9248E27FA7 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SPECCTRAQuest_signal_explorer cdslmd 17.0 permanent uncounted \
DD748590082043988D3A HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SPECCTRAQuest_signal_expert cdslmd 17.0 permanent uncounted \
7D14B5F09B77373DD79D HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SPECCTRAQuest_Planner cdslmd 17.0 permanent uncounted \
5D140540CD63B78568AD HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SPECCTRAQuest cdslmd 17.0 permanent uncounted \
6DD4F5500ECE08D21FEF HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SigNoise_Float cdslmd 17.0 permanent uncounted \
8D9475B032CDA732ADC5 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SigNoiseStdDigLib cdslmd 17.0 permanent uncounted \
DD1495E0B818827D4945 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SigNoiseEngineer cdslmd 17.0 permanent uncounted \
2DC4E5E0CE32DFF03A2B HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SigNoiseCS cdslmd 17.0 permanent uncounted \
BDC475A01556793052FA HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SigNoise cdslmd 17.0 permanent uncounted FD54E590EFB08087E935 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE signoise cdslmd 17.0 permanent uncounted DD5445900FF060C7A9F5 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE sdrc_out cdslmd 17.0 permanent uncounted ED9415502A70786F8A33 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE sdrc_in cdslmd 17.0 permanent uncounted 2DB4B580442FD877541D \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE rt cdslmd 17.0 permanent uncounted BD64E5206A32A8039477 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE RouteHYB_ALL cdslmd 17.0 permanent uncounted \
7D945590B87D83E41658 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE RouteFST_ALL cdslmd 17.0 permanent uncounted \
6D74755074FF9D5E34D2 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE RouteDFM_ALL cdslmd 17.0 permanent uncounted \
2DE4E5F0AF40D8832A9F HOSTID=ANY ISSUER=SiGNMAKER
FEATURE RouteBase_ALL cdslmd 17.0 permanent uncounted \
5D8425800696A4B46640 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE RouteADV_ALL cdslmd 17.0 permanent uncounted \
8D3455B0F0359EE83C67 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE RB_6SUPUC_ALL cdslmd 17.0 permanent uncounted \
FD5495D0B156838C1AAA HOSTID=ANY ISSUER=SiGNMAKER
FEATURE RB_6SUPUC cdslmd 17.0 permanent uncounted \
2DA4550031218743EAF2 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE RB_4SUPUC_ALL cdslmd 17.0 permanent uncounted \
FD54D5901BF267BEC272 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE quanticout cdslmd 17.0 permanent uncounted \
3DD4E510EB34DB6E8BC5 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE ptc_out cdslmd 17.0 permanent uncounted 1D34B5D06D4C5F21EC5B \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE ptc_in cdslmd 17.0 permanent uncounted FDB475A0F7CCF0E4351B \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE PSpiceStudio cdslmd 17.0 permanent uncounted \
1D04D5D09B7467DECF46 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE PSpiceBasics cdslmd 17.0 permanent uncounted \
FD9445209C0E871B2E29 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE PSpiceAD cdslmd 17.0 permanent uncounted 3D34E5B037084956D8B0 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE PSpiceAA cdslmd 17.0 permanent uncounted AD24E5A0223C366472C0 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE PSpice cdslmd 17.0 permanent uncounted 1D944530FF1151AF0921 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Prevail_Designer cdslmd 17.0 permanent uncounted \
7D1415C03AF12065B1AA HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Prevail_Board_Designer cdslmd 17.0 permanent uncounted \
6D74D5B0004BB70738A1 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE PPRoute_ALL cdslmd 17.0 permanent uncounted \
6D2425D0CD8601864A39 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE plotVersa cdslmd 17.0 permanent uncounted \
4DB49540471E1C4F34AB HOSTID=ANY ISSUER=SiGNMAKER
FEATURE placement cdslmd 17.0 permanent uncounted \
9D74453032BD487CBFB6 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE PlaceBase_ALL cdslmd 17.0 permanent uncounted \
8D949530447A90B5B0B5 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE PE_Librarian cdslmd 17.0 permanent uncounted \
9D0425401C33673142ED HOSTID=ANY ISSUER=SiGNMAKER
FEATURE PCB_studio_variants cdslmd 17.0 permanent uncounted \
DD94952069B83A9BEEAF HOSTID=ANY ISSUER=SiGNMAKER
FEATURE pcb_prep cdslmd 17.0 permanent uncounted ADA415E0B7AA12A871C8 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE PCB_librarian_expert cdslmd 17.0 permanent uncounted \
BD5445A0D2AA26FD968A HOSTID=ANY ISSUER=SiGNMAKER
FEATURE pcb_interactive cdslmd 17.0 permanent uncounted \
7DE4F5B027DB3001FA42 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE pcb_editor cdslmd 17.0 permanent uncounted \
4D848540398C25715130 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE PCB_design_studio cdslmd 17.0 permanent uncounted \
0D5445B002010FBFA81B HOSTID=ANY ISSUER=SiGNMAKER
FEATURE PCB_design_expert cdslmd 17.0 permanent uncounted \
3D642520C9FDE4E96AC1 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE PCB_designer cdslmd 17.0 permanent uncounted \
9D9455F0AB77437C6346 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Optimizer cdslmd 17.0 permanent uncounted \
FD348560BB1C921AEBF9 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE multiwire cdslmd 17.0 permanent uncounted \
DDB48580BFCC752B3CBC HOSTID=ANY ISSUER=SiGNMAKER
FEATURE modelIntegrity cdslmd 17.0 permanent uncounted \
ADC405C0E7CB7069F4C7 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE mdtocv cdslmd 17.0 permanent uncounted 7D3485107E0D21F01989 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE mdtoac cdslmd 17.0 permanent uncounted 4D3485109C914E721766 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE mdout cdslmd 17.0 permanent uncounted 3D64A53070BDD6D328BD \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE mdin cdslmd 17.0 permanent uncounted BDD4F560AA760DA66FD3 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE LayoutPlus cdslmd 17.0 permanent uncounted \
5D24C580A7F2C47C4CE7 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE LayoutEE cdslmd 17.0 permanent uncounted BDA41560AC022E37155C \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Layout cdslmd 17.0 permanent uncounted ADD47510A50B276EA825 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE IPlaceBase_ALL cdslmd 17.0 permanent uncounted \
BDB465D07EA5E1BB5041 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE ipc_out cdslmd 17.0 permanent uncounted 8D94A5C08827C5FA994C \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE ipc_in cdslmd 17.0 permanent uncounted 0D7455F0727BDEF58E24 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE intrsignoise cdslmd 17.0 permanent uncounted \
BDC4D5D0086494C9DB31 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE intrroute cdslmd 17.0 permanent uncounted \
3DB425D024BF8A55EE1C HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Intrica_powerplane_builder cdslmd 17.0 permanent uncounted \
BD64A5B026B9EE76F2A2 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE intrgloss cdslmd 17.0 permanent uncounted \
9D9435D0CD5D5B447C55 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE iges_electrical cdslmd 17.0 permanent uncounted \
8D5445E0060D27BA25F8 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE IDF_Bi_Directional_Interface cdslmd 17.0 permanent uncounted \
EDA465700DD0FE999AA1 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE hp3070 cdslmd 17.0 permanent uncounted CDA4E5607B93AD9DF8BA \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE gloss cdslmd 17.0 permanent uncounted 8DD435E0D6E14CADCDCC \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Framework cdslmd 17.0 permanent uncounted \
AD5455A0433E82B900F7 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Extended_Verilog_Lib cdslmd 17.0 permanent uncounted \
2D0445B06CDA63EFAF40 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE EMControl_Float cdslmd 17.0 permanent uncounted \
0D949520CAFB584A9FBF HOSTID=ANY ISSUER=SiGNMAKER
FEATURE EMControl cdslmd 17.0 permanent uncounted \
4D94852034C87CC3BBB7 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE EMCdisplay cdslmd 17.0 permanent uncounted \
0D3475800CE5A66734A9 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE EditFST_ALL cdslmd 17.0 permanent uncounted \
0DA42500502FA48CC62B HOSTID=ANY ISSUER=SiGNMAKER
FEATURE EditBase_ALL cdslmd 17.0 permanent uncounted \
FDD4F5005754B613F370 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE dxf2a cdslmd 17.0 permanent uncounted DD24E5F0F9DB7004BC8F \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE cvtomd cdslmd 17.0 permanent uncounted 3DD495706C155982EFEB \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Concept_HDL_studio cdslmd 17.0 permanent uncounted \
7D2435B094B58A813FB2 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Concept_HDL_rules_checker cdslmd 17.0 permanent uncounted \
ED74C51001B32F799713 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Concept_HDL_expert cdslmd 17.0 permanent uncounted \
DDD4B510B086222367BE HOSTID=ANY ISSUER=SiGNMAKER
FEATURE ConceptHDL cdslmd 17.0 permanent uncounted \
8DF4B5506C581E3696A2 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Checkplus_Expert cdslmd 17.0 permanent uncounted \
1DB415E075D54031E82E HOSTID=ANY ISSUER=SiGNMAKER
FEATURE CHDL_DesignAccess cdslmd 17.0 permanent uncounted \
6D2465A0C86B9C7539FB HOSTID=ANY ISSUER=SiGNMAKER
FEATURE cdxe_in cdslmd 17.0 permanent uncounted EDD485C058B5448FA05D \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE cbds_in cdslmd 17.0 permanent uncounted 8D7475E0E4EDA8B5642B \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Capture_CIS_Studio cdslmd 17.0 permanent uncounted \
BD843540CCE6B64DD69B HOSTID=ANY ISSUER=SiGNMAKER
FEATURE CaptureCIS cdslmd 17.0 permanent uncounted \
8D64B5D0CBF5CEF306BA HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Capture cdslmd 17.0 permanent uncounted 6D9485B0FBDE398948CD \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE cals_out cdslmd 17.0 permanent uncounted 2DB4A50098812955EFCB \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE BoardQuest_Team cdslmd 17.0 permanent uncounted \
ED94D5C0D477977E9122 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE BoardQuest_Designer cdslmd 17.0 permanent uncounted \
9D147560F6D8CAF6C217 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Base_Verilog_Lib cdslmd 17.0 permanent uncounted \
1DF4C5D02D84A282340B HOSTID=ANY ISSUER=SiGNMAKER
FEATURE AWB_STATS cdslmd 17.0 permanent uncounted \
8D3445A0276AC35C3146 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE AWB_SMOKE cdslmd 17.0 permanent uncounted \
0D0475E054FF6D8BF728 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE AWB_SIMULATOR cdslmd 17.0 permanent uncounted \
FDE4E500FDAA9DA16A65 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE AWB_RESOLVE_OPT cdslmd 17.0 permanent uncounted \
DDE495800D0CE2C5D9D1 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE arouter cdslmd 17.0 permanent uncounted 4D04D5900A310DA23CE9 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE APD cdslmd 17.0 permanent uncounted FD6425D08816BE9E639E \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Allegro_Viewer_Plus cdslmd 17.0 permanent uncounted \
DD24E5E017D1D76A07F8 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Allegro_Symbol cdslmd 17.0 permanent uncounted \
5DF465E0985471E03A0A HOSTID=ANY ISSUER=SiGNMAKER
FEATURE allegro_symbol cdslmd 17.0 permanent uncounted \
BDF485E098D43180FAAA HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Allegro_studio cdslmd 17.0 permanent uncounted \
2D74E51028F117B42BFF HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Allegro_performance cdslmd 17.0 permanent uncounted \
6DD4E590219DBC8F0374 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Allegro_PCB_Interface cdslmd 17.0 permanent uncounted \
7D24A530C507ED482654 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Allegro_PCB cdslmd 17.0 permanent uncounted \
CD542510C9A554312D94 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE allegro_non_partner cdslmd 17.0 permanent uncounted \
3D54C5502A692FC44B53 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Allegro_Librarian cdslmd 17.0 permanent uncounted \
0D14B5607BF2D04B2A55 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Allegro_Expert cdslmd 17.0 permanent uncounted \
2DD475A054D42A10A089 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE allegro_dfa_att cdslmd 17.0 permanent uncounted \
1D64B5F0CB8F492FF6CB HOSTID=ANY ISSUER=SiGNMAKER
FEATURE allegro_dfa cdslmd 17.0 permanent uncounted \
9DB475208DC224F6C12D HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Allegro_design_expert cdslmd 17.0 permanent uncounted \
1DE4A51001CDDA1EA863 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Allegro_designer_suite cdslmd 17.0 permanent uncounted \
ED8425606E1365F155BA HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Allegro_Designer cdslmd 17.0 permanent uncounted \
2D64E590D167148BCDB7 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Allegro_CAD_Interface cdslmd 17.0 permanent uncounted \
9D9495F04098C50AD532 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE allegroprance cdslmd 17.0 permanent uncounted \
1D5435E0CDAF15DEFDB5 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE adv_package_engineer_expert cdslmd 17.0 permanent uncounted \
3DE45550E06EC2E2678A HOSTID=ANY ISSUER=SiGNMAKER
FEATURE adv_package_designer_expert cdslmd 17.0 permanent uncounted \
0D4415D01620EC1514F4 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Advanced_Package_Designer cdslmd 17.0 permanent uncounted \
2DC435C0F423D01D4B55 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE advanced_package_designer cdslmd 17.0 permanent uncounted \
0DC415C014A3903D6BF5 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE actomd cdslmd 17.0 permanent uncounted 7D345500F872E8A88A6B \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE ABIT cdslmd 17.0 permanent uncounted 7DB44540463A7D7CF341 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE A2dxf cdslmd 17.0 permanent uncounted 6DF4D550AAB60EA580D1 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE vloglink cdslmd 17.0 permanent uncounted 6DA4E5C0E7C6AEDC236C \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE tw02 cdslmd 17.0 permanent uncounted 3DD4C580E98CD53EC4C5 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE tw01 cdslmd 17.0 permanent uncounted 3D84C5A0E991D23FBFC4 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE tscr.ex cdslmd 17.0 permanent uncounted AD94B550159439907B13 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE skillDev cdslmd 17.0 permanent uncounted 9D3465F00022A69138B9 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE realchiplmrt cdslmd 17.0 permanent uncounted \
8DD475004714BF253DDF HOSTID=ANY ISSUER=SiGNMAKER
FEATURE pcomp cdslmd 17.0 permanent uncounted 2D4425F0FB81B5047044 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE packager cdslmd 17.0 permanent uncounted 5DB4E5D04B22C22DEF23 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE mdtocvmultiwire cdslmd 17.0 permanent uncounted \
8D9435F0C62EA1F8587F HOSTID=ANY ISSUER=SiGNMAKER
FEATURE mdoutmdtoac cdslmd 17.0 permanent uncounted \
6DB43530CFC9D025BDF6 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE lwb cdslmd 17.0 permanent uncounted 8D2445D033F6B7D14B63 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE intrglossintrroute cdslmd 17.0 permanent uncounted \
0D348560B65BA02421CD HOSTID=ANY ISSUER=SiGNMAKER
FEATURE gscaldgspares cdslmd 17.0 permanent uncounted \
9DA48520FF7267361DF5 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE gphysdly cdslmd 17.0 permanent uncounted FDD4D5407EB50612A832 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE glib cdslmd 17.0 permanent uncounted 0D94D54054D04124F939 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE gbom cdslmd 17.0 permanent uncounted 7D6435C02C8500391EF8 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE fetsetup cdslmd 17.0 permanent uncounted 1D94E5100946AE6482E7 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE expgenfethman cdslmd 17.0 permanent uncounted \
CDB4F5602AE1FE64722E HOSTID=ANY ISSUER=SiGNMAKER
FEATURE crefer cdslmd 17.0 permanent uncounted 7D2445504434A3ACE7F1 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE comp cdslmd 17.0 permanent uncounted DD7445900EEF6440F8C7 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE archiver cdslmd 17.0 permanent uncounted 2DE4D5B0B80769B1B688 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE VXL-VRA cdslmd 17.0 permanent uncounted 2DD4A5E0E29559412492 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE VXL-VLS cdslmd 17.0 permanent uncounted 8D34A520CC4343E79C80 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE VXL-VET cdslmd 17.0 permanent uncounted FDC485806F749EEC4E6B \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE VXL-VCW cdslmd 17.0 permanent uncounted 9D14A5609A835E3976D5 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE VHDLLink cdslmd 17.0 permanent uncounted 8D7485E06DCF5C84C5AE \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE VERILOG-XL cdslmd 17.0 permanent uncounted \
DD64D530EDE73095187A HOSTID=ANY ISSUER=SiGNMAKER
FEATURE UET cdslmd 17.0 permanent uncounted 1D0435A06A6FA12C44C6 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Spectre_Burst_AllegroSI cdslmd 17.0 permanent uncounted \
8D34B510A9562B942B99 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SQ_ModelIntegrity cdslmd 17.0 permanent uncounted \
BD6445C00CB9E2620A95 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SPECCTRA_QESPECCTRA_VT cdslmd 17.0 permanent uncounted \
FD642580214B3F3CC710 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SPECCTRAQuest_EE_SI cdslmd 17.0 permanent uncounted \
0DA47570CA4445454013 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE SPECCTRAQuest_EE cdslmd 17.0 permanent uncounted \
5D4435F0FDA9027B5F25 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE PowerIntegrityRouteMVIA_ALL cdslmd 17.0 permanent uncounted \
2D8495807985539E9B1A HOSTID=ANY ISSUER=SiGNMAKER
FEATURE PWM_LIB cdslmd 17.0 permanent uncounted BD24D5D0A9A96451EA0C \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE PE_LibrarianPPRoute_ALL cdslmd 17.0 permanent uncounted \
DD149530DBDAB0691204 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE PCB_library_workbench cdslmd 17.0 permanent uncounted \
0D0425D0A981C903A9DE HOSTID=ANY ISSUER=SiGNMAKER
FEATURE PCB_design_workbench cdslmd 17.0 permanent uncounted \
0D8415C0A27BBCA77A0C HOSTID=ANY ISSUER=SiGNMAKER
FEATURE PCB_DM_standalone cdslmd 17.0 permanent uncounted \
ED34C5504EBF22F841FA HOSTID=ANY ISSUER=SiGNMAKER
FEATURE OpenWaves cdslmd 17.0 permanent uncounted \
3DB495A07E50C971A3F8 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE OpenSim cdslmd 17.0 permanent uncounted 3D5485E0BB29E04A9EE7 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE OpenModeler_SWIFT cdslmd 17.0 permanent uncounted \
8DB4D5705547F8951536 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE OpenModeler_SFI cdslmd 17.0 permanent uncounted \
7DB45550466C133B653D HOSTID=ANY ISSUER=SiGNMAKER
FEATURE OpenModeler cdslmd 17.0 permanent uncounted \
3D34D520597AA0D584D9 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE MIXAD_LIB cdslmd 17.0 permanent uncounted \
7DB425503A91D7944DBF HOSTID=ANY ISSUER=SiGNMAKER
FEATURE MAG_LIB cdslmd 17.0 permanent uncounted 9D647580C41E2A6C39A1 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE LSE cdslmd 17.0 permanent uncounted DD64151009EEBA22A40A \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE LINEAR_LIB cdslmd 17.0 permanent uncounted \
2D645580A772A779473E HOSTID=ANY ISSUER=SiGNMAKER
FEATURE FUNCTION_LIB cdslmd 17.0 permanent uncounted \
ED2405707720FFCB2AEC HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Extended_Digital_Body_Lib cdslmd 17.0 permanent uncounted \
ED0495B096165BC605F1 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE DISCRETE_LIB cdslmd 17.0 permanent uncounted \
5D14C5E02D0EBD8FD3C7 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE CWAVES cdslmd 17.0 permanent uncounted 2DE4F5A0F00B4B4E0036 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Base_Digital_Body_Lib cdslmd 17.0 permanent uncounted \
FDC4E570E7373751E326 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Allegro_SLPS cdslmd 17.0 permanent uncounted \
CD74B560A4A4F06A6DB8 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Allegro_Pkg_Designer_620_Suite cdslmd 17.0 permanent \
uncounted BD1485C030A6296EAA16 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Allegro_Package_SI_620_Suite cdslmd 17.0 permanent uncounted \
8D24B5603593589AEA62 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Allegro_Package_SI_620 cdslmd 17.0 permanent uncounted \
2D446580473123D3FCD0 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Allegro_Package_Designer_620 cdslmd 17.0 permanent uncounted \
DD446530FB9A8D6B8E8E HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Allegro_PCB_SI_630_Suite cdslmd 17.0 permanent uncounted \
4D14F520B8C1DC845A30 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Allegro_PCB_SI_630 cdslmd 17.0 permanent uncounted \
3DE455F02F6F54A122AE HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Allegro_PCB_SI_230 cdslmd 17.0 permanent uncounted \
BDA445F0DFABDC9DDE6E HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Allegro_PCB_Router_610 cdslmd 17.0 permanent uncounted \
1D544530325334A14E20 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Allegro_PCB_Router_210 cdslmd 17.0 permanent uncounted \
ED94F5B0321F209D2224 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Allegro_PCB_Editor_620 cdslmd 17.0 permanent uncounted \
FDD405000FDCC589FAC2 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Allegro_PCB_Editor_230 cdslmd 17.0 permanent uncounted \
AD64F5501BDED96FAFBB HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Allegro_PCB_Design_620 cdslmd 17.0 permanent uncounted \
ED3445601614CCEC8A09 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Allegro_PCB_Design_230 cdslmd 17.0 permanent uncounted \
9D44F530A23ED05AC71A HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Allegro_Design_Editor_620 cdslmd 17.0 permanent uncounted \
DD8475C0DC0995D83888 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE Affirma_sim_analysis_env cdslmd 17.0 permanent uncounted \
CD74C5E064E9A1EE8CB5 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE AWB_SPICEPLUS cdslmd 17.0 permanent uncounted \
FDF4C53025327A0C02E7 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE AWB_PPLOT cdslmd 17.0 permanent uncounted \
6D1495102331F396A8F9 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE AWB_MIX cdslmd 17.0 permanent uncounted 6DB4C5F00EEA27678B67 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE AWB_MAGNETICS cdslmd 17.0 permanent uncounted \
1DE4E5B0B8A38D628F10 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE AWB_MAGAZINE cdslmd 17.0 permanent uncounted \
9DC4D5B0D1AECF67F47C HOSTID=ANY ISSUER=SiGNMAKER
FEATURE AWB_DIST_SIM cdslmd 17.0 permanent uncounted \
0DC4B5A05261BD7E8745 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE AWB_Batch cdslmd 17.0 permanent uncounted \
DD54D5F0B4457B703155 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE AWB_BEHAVIOR cdslmd 17.0 permanent uncounted \
CD4405B0D68685E359DA HOSTID=ANY ISSUER=SiGNMAKER
FEATURE ANALOG_WORKBENCH cdslmd 17.0 permanent uncounted \
DDB425409BD9E5C30E39 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE 3D_FieldSolver_Engine cdslmd 17.0 permanent uncounted \
9D3405F0D4383E276A73 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE 32510 cdslmd 17.0 permanent uncounted 1DA495B026010A2F7E9D \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE 32502 cdslmd 17.0 permanent uncounted 9DD4959028EE23AE9FB2 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE 32500 cdslmd 17.0 permanent uncounted 2D34A5F090FE271A8D48 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE 32150 cdslmd 17.0 permanent uncounted 5D64A5B05EF53A9F3E65 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE 26000 cdslmd 17.0 permanent uncounted 9DF495E00F295EE0BF46 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE 21900 cdslmd 17.0 permanent uncounted 4D9475F0FBC60A4381A7 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE 100 cdslmd 17.0 permanent uncounted 5D6415D0B8FE5A5A67C2 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE OrCAD cdslmd 17.0 permanent uncounted DDE435F056195C55F433 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE PSpiceSLPSOpt cdslmd 17.0 permanent uncounted \
5DD475409C41612824D3 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE PSpiceSmokeOpt cdslmd 17.0 permanent uncounted \
DD1475C03B70C8D9DC39 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE OrCAD_Capture_CIS_option cdslmd 17.0 permanent uncounted \
CD0485409BAEAE4EAD2A HOSTID=ANY ISSUER=SiGNMAKER
FEATURE OrCAD_Unison_Ultra cdslmd 17.0 permanent uncounted \
4D24C5F0BC25815C00CD HOSTID=ANY ISSUER=SiGNMAKER
FEATURE OrCAD_Unison_EE cdslmd 17.0 permanent uncounted \
4DA4F5805B4098967457 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE OrCAD_Unison_PCB cdslmd 17.0 permanent uncounted \
FDD4D530CADA2525D6BC HOSTID=ANY ISSUER=SiGNMAKER
FEATURE PSpiceOPTIOpt cdslmd 17.0 permanent uncounted \
0DD4E5A0AC7F2B543A6B HOSTID=ANY ISSUER=SiGNMAKER
FEATURE OrCAD_PCB_Designer_PSpice cdslmd 17.0 permanent uncounted \
8D242530F1A48E926C56 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE OrCAD_PCB_Designer cdslmd 17.0 permanent uncounted \
1D54A56049D77B152302 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE OrCAD_Signal_Explorer cdslmd 17.0 permanent uncounted \
4D1425E026BDA895BD88 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE OrCAD_PCB_Router cdslmd 17.0 permanent uncounted \
6D1435E0882B2E1B7F5E HOSTID=ANY ISSUER=SiGNMAKER
FEATURE OrCAD_PCB_Editor cdslmd 17.0 permanent uncounted \
8DC42580446284B101C0 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinOptimizer cdslmd 17.0 permanent uncounted \
9D2455B0C51C4102EB8E HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinPSpiceAD cdslmd 17.0 permanent uncounted \
BD0455B01A12D07D9ECE HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinPSpice cdslmd 17.0 permanent uncounted \
FDA405404CDB9DD0A81C HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinLayoutEE cdslmd 17.0 permanent uncounted \
4D047580ECEDFD270F48 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinLayoutPlus cdslmd 17.0 permanent uncounted \
6DF435A05E2DD8BEB058 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinLayout cdslmd 17.0 permanent uncounted \
8DE4C590C8BA47517A99 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinExpressPlus cdslmd 17.0 permanent uncounted \
0D14059020E9AF0D1859 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinExpress cdslmd 17.0 permanent uncounted \
7DB4A5B05D90408221A0 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinCaptureCIS cdslmd 17.0 permanent uncounted \
AD1465F0DF644948DED6 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinCapture cdslmd 17.0 permanent uncounted \
1DE485A09652BF0F0ABE HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinOrCAD cdslmd 17.0 permanent uncounted CDA4A5F04021BD1730DE \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinAltera cdslmd 17.0 permanent uncounted \
8DC495A02BEBE6D8053D HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinActel cdslmd 17.0 permanent uncounted 9D34A58018ABE0A38956 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinMACHfiveVP cdslmd 17.0 permanent uncounted \
AD44354039C04311BAFB HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinPLD cdslmd 17.0 permanent uncounted 0D5485901E7DB595E27F \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinAtmel cdslmd 17.0 permanent uncounted 1D645510244305710864 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinAMDMACH cdslmd 17.0 permanent uncounted \
ED04058022625B7CA974 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinPLSynPart cdslmd 17.0 permanent uncounted \
FDF4B5105A9F896A4A0E HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinPLSyn cdslmd 17.0 permanent uncounted 6D94F5D04A0032B8B10F \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinMinc cdslmd 17.0 permanent uncounted 6DA4F5908BDD6A883366 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinXilinx cdslmd 17.0 permanent uncounted \
4DD405F0959FD9C8EAD5 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinEditRouteU cdslmd 17.0 permanent uncounted \
DDB4D52037F70B15E88F HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinEditRoute6 cdslmd 17.0 permanent uncounted \
FD8475307B774B5B492A HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinEditRoute4 cdslmd 17.0 permanent uncounted \
FDE47510736F5F4F4728 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinEditRoute2 cdslmd 17.0 permanent uncounted \
FD4465F07B774B5B4526 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinAutoRouteU cdslmd 17.0 permanent uncounted \
EDA4A5609BBCDFA710ED HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinAutoRoute6 cdslmd 17.0 permanent uncounted \
0D9445905B401F61EF12 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinAutoRoute4 cdslmd 17.0 permanent uncounted \
0D7435F05B401365ED10 HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinAutoRoute2 cdslmd 17.0 permanent uncounted \
5D5415502B00BFE10BFE HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinPCBoards cdslmd 17.0 permanent uncounted \
7DA455B00148B45D098E HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinParts cdslmd 17.0 permanent uncounted 1D24F5F0F0E772E35413 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinStmEd cdslmd 17.0 permanent uncounted CD0405505439EB2FB24E \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinProbe cdslmd 17.0 permanent uncounted 5D1495A0409969B71765 \
HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinDevEqu cdslmd 17.0 permanent uncounted \
1D248580AA7F17462C1A HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinDesignLab cdslmd 17.0 permanent uncounted \
DDF4652056F8C8A829EC HOSTID=ANY ISSUER=SiGNMAKER
FEATURE WinMicroSim cdslmd 17.0 permanent uncounted \
4D44F510A99016A7EDA2 HOSTID=ANY ISSUER=SiGNMAKER
OrCAD 10.5:http://board.VeryCD.com/t309560.html
OrCAD 10.5 (PCB设计增强版):http://www.9iv.com/down/soft/270.htm

《OrCAD 10.5 SP1 电子设计》(OrCAD 10.5 SP1)英文[ISO]下载

《OrCAD 10.5 SP1 电子设计》(OrCAD 10.5 SP1)英文[ISO]评论

详情

  • 名称:《OrCAD 10.5 SP1 电子设计》(OrCAD 10.5 SP1)英文[ISO]
  • 更新日期:2016-11-30 13:50:36
  • 资源大小:141.81 MB
  • 授权形式:免费